抽象的

在快速发展的半导体技术领域,芯片封装在打造更小、更快、更强大的电子设备方面发挥着关键作用。随着对设备功能增强的需求不断增长,公司面临着在有限的外形尺寸内为客户提供更多组件的持续压力。本白皮书探讨了芯片封装的最新进展,例如 2.5D 和 3D 封装、先进材料和异构集成,以及它们如何通过增强公司向客户提供更多组件的能力而不影响性能、尺寸或功率效率来为公司提供竞争优势。

介绍

从早期将集成电路封装在简单的塑料或陶瓷封装中开始,半导体封装已经取得了长足的进步。如今,芯片封装已成为创新的关键推动者,使公司能够在不断缩小的外形尺寸内向客户提供更多组件和功能。这种竞争优势是通过提高半导体器件的密度、性能和效率的技术进步的结合来实现的。

芯片封装进步的需要

对更小、更强大、功能丰富的电子设备的需求从未如此之高。这种需求给半导体制造商带来了巨大的压力,要求他们提供能够容纳更多组件而不牺牲性能、尺寸或功率效率的芯片。传统封装技术存在局限性,阻碍了附加组件的集成。

历史

传统包装技术

引线键合技术起源于 20 世纪 50 年代,至今仍然具有重要意义,它是一种互连方法,将印刷电路板 (PCB) 连接到集成电路的硅方块(称为芯片)。这种连接是通过焊球和细金属线建立的。虽然引线键合比传统封装芯片占用的空间更少,并且允许相对较长距离的连接,但它在高温、高湿和温度循环条件下容易发生故障。此外,顺序形成每个键的过程引入了复杂性并且会减慢制造速度。

封装技术的第一个重大进步出现在 20 世纪 90 年代中期,即倒装芯片。在这种方法中,芯片以面朝下的方向使用,利用整个芯片表面区域通过焊料“凸块”进行互连,从而在 PCB 和芯片之间建立粘合。这种配置导致更小的外形尺寸或硬件尺寸,并实现更高的信号传播速率,从而促进从发射器到接收器的更快的信号传输。倒装芯片封装是目前最广泛、最具成本效益的技术,主要应用于中央处理器、智能手机和射频系统级封装解决方案。尽管倒装芯片具有紧凑组装和耐高温等优点,但它们需要放置在异常平坦的表面上,并且不易更换。

图 1:半导体封装历史

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

来源:AnySilicon

晶圆级封装

在传统的封装工艺中,硅晶圆最初被分成单独的芯片,随后通过建立电气连接将其附着到印刷电路板(PCB)上。相比之下,晶圆级封装的运作方式有所不同,它在使用激光分割芯片之前在晶圆级建立电连接和成型。晶圆级芯片级封装 (WLCSP) 和倒装芯片之间在芯片配置方面的主要区别在于 WLCSP 中的芯片和 PCB 之间没有基板。相反,重新分布层 (RDL) 取代了基板,从而实现更紧凑的封装并提高导热性。

图 2:晶圆级封装

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

资料来源:AnySilicon、IEEE

晶圆级封装可分为两种主要类型:扇入型和扇出型。在通常用于满足低端移动电话的基本技术要求的扇入式晶圆级封装中,重新分布层 (RDL) 指向芯片的中心。相反,在 2007 年推出的扇出封装中,RDL 和焊球超出了芯片的尺寸,从而使芯片能够容纳更多的输入和输出,同时保持纤薄的外形。扇出封装表现为三种变化:核心、高密度和超高密度。核心封装主要用于不需要尖端技术的汽车和网络应用,例如射频和信息娱乐芯片。高密度和超高密度变体在移动应用中得到广泛使用,并有望扩展到特定网络和高性能计算应用中。台积电 (TSMC) 是全球最大的晶圆级芯片级封装 (WLCSP) 生产商。

预计2021年至2028年期间,晶圆级封装市场将以21.0%的速度增长。晶圆级封装市场报告分析了增长情况,由于微电子器件对电路小型化的迫切需求,目前晶圆级封装市场正在增长。

要了解有关该研究的更多信息,请访问 https://www.databridgemarketresearch.com/zh/reports/global-wafer-level-packaging-market

图 3:扇入和扇出封装类型

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

资料来源:AnySilicon、IEEE

在过去的十年中,堆叠晶圆级芯片级封装 (WLCSP) 领域取得了重大进展。这一进步使得能够将多个集成电路集成到单个封装中,从而服务于集成逻辑和存储芯片的异构键合以及存储芯片的堆叠。

在 2.5 维堆叠的情况下,两个或多个芯片并排放置,并使用中介层促进芯片之间的连接。存在各种类别的 2.5 维堆叠,具体取决于所采用的中介层的类型:

在 3D 堆叠领域,多个芯片彼此堆叠,无论有或没有中介层。 3D 堆叠有两种主要类型:

传统包装的局限性

芯片封装的进步

为了解决这些限制并获得竞争优势,半导体公司一直在投资先进的芯片封装技术。近年来出现了几项关键进展:

中介+基材

使先进封装成为可能的基础部分是中介层。这些薄基板提供了放置各个芯片的基础,以及连接到主封装基板的微小互连。这些先进封装中使用的中介层由三种可能的材料制成:

图 4:中介 + 基材

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

来源:SMG

2.5D 和 3D 封装

2.5D 和 3D 封装技术涉及将多个芯片堆叠在彼此之上或彼此相邻,并使用硅通孔 (TSV) 来实现层之间的通信。这些技术具有以下几个优点:

图 5:左:倒装芯片封装概念。中:中介层上的 2.5D 集成。右:中介层上的 3D 集成。

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

资料来源:eInfochips

先进材料

已开发出新材料来提高芯片封装的性能和效率:

异构集成

异构集成涉及将不同类型的芯片(例如 CPU、GPU、AI 加速器和传感器)组合在一个封装中。这种方法有几个优点:

叠层封装 (PoP)

层叠封装 (PoP) 概念类似于一组堆叠的 BGA。多个封装采用 BGA 封装构建,并垂直堆叠在连续的基板层上。理论上,这允许将现有封装直接集成在另一个封装的顶部,类似于将多个 PCB 堆叠在一起。最底层的球阵列焊接到 PCB 上,并提供对封装其余部分的访问。

图 6:层叠封装 (PoP)

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

来源:MADPCB

这与其说是一种特定的包装结构,不如说是一种设计方法或包装设计类型。所有系统级封装 (SIP) 都遵循特定的设计理念:封装尝试集成尽可能多的组件,以便封装包含整个系统,通常是为特定应用而设计的。

以下任何元素都可以出现在高级组件的 SIP 中:

一些新组件被设计为具有高级可重配置逻辑的 SIP 或 SoC,这意味着在封装中实现了 FPGA 协处理器。这为组件设计人员提供了极大的灵活性,可以根据其最终产品定制 SIP,并在产品部署到现场后为以后的可重新配置性创建警告。

图 7:系统级封装 (SIP)

Advancement in Chip Packaging Providing Competitive Edge to the Companies as They are Able to Offer More Components to Clients

来源:AnySilicon

最后,片上系统 (SoC) 和 SIP 之间存在区别。 SoC 仍然是 SIP,但它仅作为单个芯片存在,可能不会被设计为中介层。为此,一些SoC产品采用传统封装或带有中介层和基板的集成封装。 SIP 还提供 SoC 中的集成,但它们实现了与上述多个组件的集成类型。

Data Bridge Market Research 分析称,2021 年系统级封装 (SIP) 市场价值为 235.1 亿美元,预计到 2029 年将达到 498.4 亿美元,在 2022 年至 2029 年的预测期内复合年增长率为 9.85%。Data Bridge Market Research 团队策划的市场报告包括深入的专家分析、进出口分析、定价分析、生产消费分析和 pestle 分析。

https://www.databridgemarketresearch.com/zh/reports/global-system-in-package-sip-market

市场动态

市场扩张很大程度上取决于最终客户,包括汽车原始设备制造商(OEM)和家用电器制造商。越来越多的最终客户正在积极寻找专门从事先进封装的供应商。这种需求是由对快速、可靠计算日益增长的需求推动的,特别是在自动驾驶汽车等应用中。对于半导体制造商,尤其是逻辑集成器件制造商 (IDM) 和代工厂来说,先进封装是至关重要的竞争优势。为了吸引和留住高价值无晶圆厂半导体客户,制造商必须开放合作开发先进封装解决方案。虽然无晶圆厂半导体公司在大规模生产开始之前保持对芯片规划流程的完全控制,但制造商仍然有机会贡献价值。联合开发工作通常发生在芯片架构设计阶段和用于设计验证的初始穿梭运行期间。由于对更高性能芯片的需求不断增长以及先进封装技术导致芯片设计的复杂性不断增加,预计这种合作的需求将会增加。

快速追随者在试图追赶市场领导者时可能会遇到重大挑战。这主要是因为需要大量的技术投资才能向客户保证支持其产品所需的产量。此外,尽管快速追随者可能拥有扇出和2.5D封装的研发(R&D)级封装技术,但他们通常缺乏生产经验,而这是实现高产量的关键因素。

为了应对这些挑战,包装企业必须在发展的早期阶段积极寻找固定客户。从设计阶段就将他们的公司定位为制造先进包装解决方案的自愿合作伙伴,这对于获取客户至关重要。

先进的封装需要改变最终用户的软件和硬件架构。因此,在最初的建筑规划阶段就应该考虑包装设计。此阶段后端提供商的支持可以减轻采用高级封装的负担。一旦客户选择了先进封装供应商,他们很可能会在未来的项目中继续与该供应商合作。

为了增强设计能力,公司可以选择与设计公司合作或投资设计公司。这些设计公司在整个芯片制造过程中发挥着关键作用,包括知识产权 (IP) 开发、设计和生产。拥有IP池可以加快客户的设计需求,帮助他们避免冗余设计和资源支出。设计公司应提供全面的前端和后端服务,包括寄存器传输级设计、高级功能描述、逻辑测试以及布局和布线服务。

对于芯片制造商来说,另一个潜在的有价值的主张是确保设计能力并提供从设计到晶圆制造、封装和测试的交钥匙解决方案。这种整体性的产品为客户提供了满足其半导体需求的便捷的一站式解决方案。

在制造方面,制造商必须掌握2.5D和3D封装两项关键技术能力。对于 2.5 维封装,需要熟练处理新兴中介层解决方案,这些解决方案融合了硅、重分布层 (RDL) 和玻璃等新型材料和制造方法。就 3D 封装而言,最新技术混合键合需要化学机械平坦化,以确保各种物质的均匀平整度并防止凹陷。此外,通过设备和专业知识中的磁盘到晶圆功能实现的高互连精度至关重要。

通过先进芯片封装获得竞争优势

采用先进的芯片封装技术为公司提供了显着的竞争优势:

先进封装的主要潜在趋势是将更多功能和电路块集成或封装到更小的空间中,所有这些都以更快的速度运行。为了促进这种类型的功能封装,业界开发了多种类型的半导体封装设计,以实现不同功能的持续集成。

以下是一些公司如何使用 ACP 为客户提供更多组件并获得竞争优势的实例:

通过 ACP 向客户提供更多组件,公司可以在市场上获得竞争优势。

预计2021年至2028年的预测期内,半导体封装市场将以约8.00%的速度增长,到2028年将达到53,676.97美元。Data Bridge市场研究报告对半导体封装市场提供了分析和见解关于预计在整个预测期内普遍存在的各种因素,同时提供它们对市场增长的影响。全球封装行业的崛起正在推动半导体封装市场的增长。

https://www.databridgemarketresearch.com/zh/reports/global-semiconductor-packaging-market

结论

在快速发展的半导体技术世界中,芯片封装的进步是获得竞争优势的关键因素。通过 2.5D 和 3D 封装、先进材料和异构集成等技术,公司可以为客户提供更多组件和功能,而不会影响性能、尺寸或功率效率。这些进步不仅推动了创新,而且还确保半导体公司始终处于提供尖端解决方案的前沿,以满足日益互联的世界的需求。对于寻求在不断发展的电子设备领域蓬勃发展的公司来说,拥抱芯片封装的这些进步至关重要。


DBMR 已为超过 40% 的国际财富 500 强企业提供服务,并拥有超过 5000 家客户网络。我们的团队很乐意帮助您解答疑问。访问, https://www.databridgemarketresearch.com/zh/contact

联系我们

了解更多

关于影响和行动的其他见解